ВходНаше всё Теги codebook 无线电组件 Поиск Опросы Закон Пятница
29 марта
1148611 Топик полностью
mse homjak (24.11.2021 11:58, просмотров: 221) ответил Zoro на 2) из mclk получаю div10clk путём "div10"
Квартус знает. В проекте есть счётчик, который делит на 10. Тут, походу, какая-то принципиальная лажа. РТЛ показывает адекватную картинку в том и ином случаях. Т.е. с точки зрения логики описания всё в норме. Но какая-то конструкция описания неадекватно воспринимается синтезатором.