ВходНаше всё Теги codebook 无线电组件 Поиск Опросы Закон Четверг
25 апреля
334474 Топик полностью
Mahagam (10.06.2012 23:57, просмотров: 197) ответил rezident на Есть нюанс. Версии Xilinx ISE WebPACK вполне хватает для данного проекта. А вот хватит ли ModelSim PE Student Edition для симуляции его? Ну не покупать же ModelSim только для одного небольшого проекта. Лет пять на ПЛИС уже ничего не делали.
хватит. до возможностей дорогих версий моделсима ещё дорасти надо. там дальше упор делается на верификацию. если не покупать - то сливать с фтп электроникса. встроенный симулятор в iSE я пользовал когда iSE был эдак 7-ой версии. поплевался и с тех пор ни разу не заморачивался этим вопросом.