ВходНаше всё Теги codebook 无线电组件 Поиск Опросы Закон Пятница
29 марта
353980
Blackbird_sunday (12.09.2012 15:43, просмотров: 13228)
Следующая печаль как в квартусе БЫСТРО в шине вырезать отдельные сигналы и засунуть их в другую шину - я схемник так что схемы рисую . Рисовать softы уже заманало чесслово . Переходить на языг не предлагать :) Хотя если какойнить шаблочник универсальный предложите будет интересно .. Вот дешифратор на case мне тоже понравился ..