ВходНаше всё Теги codebook 无线电组件 Поиск Опросы Закон Четверг
25 апреля
354001 Топик полностью
Mahagam (12.09.2012 17:07, просмотров: 178) ответил Blackbird_sunday на Следующая печаль как в квартусе БЫСТРО в шине вырезать отдельные сигналы и засунуть их в другую шину - я схемник так что схемы рисую . Рисовать softы уже заманало чесслово . Переходить на языг не предлагать :) Хотя если какойнить шаблочник
вот почему не языг! на верилоге ж так просто:
module bus_extract_number_five (
 input [10:0] source_bus,
 output [2:0] destination_bus
);
 assign destination_bus[0] = source_bus[4];
 assign destination_bus[1] = source_bus[7];
 assign destination_bus[2] = source_bus[1];
endmodule
если вырезаторы шины будут иметь корелляцию по выковыриванию сигналов - так вообще можно один параметризованный модуль наваять.