ВходНаше всё Теги codebook 无线电组件 Поиск Опросы Закон Четверг
28 марта
354033 Топик полностью
USSR (12.09.2012 19:53, просмотров: 1) ответил Meteor на Насколько понял, ТС хочет из шины шириной 10 "бит", вырезать скажем 3,5 и 8 "биты", а затем их уже склеить в новую шину.
Это делается тривиально: assign newbus[0:3]={oldbus[3],oldbus[5],oldbus[8]};