ВходНаше всё Теги codebook 无线电组件 Поиск Опросы Закон Пятница
19 апреля
543750 Топик полностью
Гудвин, волшебник (02.09.2014 14:00, просмотров: 114) ответил AlexG_ на Посоветуйте утилитку для автоматической нумерации версий программы при каждой компиляции.
Приходится юзать разные среды для разных контроллеров. Остановился на самом универсальном - зарезервировал место в прошивке для номера билда и unix time stamp. Запускается утилитка после компиляции и вставляет эти цифирьки в файл. Причем попутно, ибо прошивки все-равно готовлю для загрузчика - шифрую, считаю CRC и пр.