ВходНаше всё Теги codebook 无线电组件 Поиск Опросы Закон Пятница
29 марта
744236 Топик полностью
mse homjak (24.03.2017 13:01, просмотров: 288) ответил Николай Коровин на Ощущение острой нехватки «ассемблерных вставок», чтобы руками развести сигналы. На асике это делается элементарно, ну, может, пару инверторов между триггерами придётся воткнуть да не, паранойя. А вот как синтезатору такое объяснить…
Дык... wire s1,s2,s1OrNegS2,S1OrS2; assign s1OrNegS2=~s2|s1; assign S1OrS2=s1|s2; always @(posedge s1OrNegS2) ... always @(posedge S1OrS2) ... Или обо што речь?