ВходНаше всё Теги codebook 无线电组件 Поиск Опросы Закон Среда
24 апреля
745612 Топик полностью
Николай Коровин (29.03.2017 10:16 - 10:18, просмотров: 313) ответил Dingo на Правда не Verilog, а VHDL так разрисовывает по исходнику Си. Если это чем-нибудь поможет. UPD: Теперь и на Verilog.
Т. е. ларчик открывался просто поднятием крышки вверх? Тяжёлый случай того, как умные люди сами себя перехитрили. В Верилоге не шибко рублю, ВХДЛ вроде выглядит правильно и вызывает реакцию Дебильной Девочки из анекдота: «А что, так можно было, да?»