ВходНаше всё Теги codebook 无线电组件 Поиск Опросы Закон Вторник
23 апреля
745846 Топик полностью
POV_ (29.03.2017 23:13, просмотров: 1) ответил Dingo на Правда не Verilog, а VHDL так разрисовывает по исходнику Си. Если это чем-нибудь поможет. UPD: Теперь и на Verilog.
Проверю. Мой вариант с "WTF" рабочий, т.к. ситуации такой не бывает. ИНтерес был академический в конце концов. Девайс работает.