ВходНаше всё Теги codebook 无线电组件 Поиск Опросы Закон Среда
24 апреля
876372 Топик полностью
MBedder, терминатор (12.10.2018 18:36, просмотров: 188) ответил s_h_e на Интересно, это автоматизируется? Типа кнопка в CAD, посчитать плотность переходных и рандомно добить на полигонах до заданного числа? :)
У меня такой "современный" CAD - OrCAD386 DOS от 1995 года, что он аж деньги сам печатать может, а не только имеет такую кнопку :)) Он даже via ставить прямо в заливку не желает - приходится рисовать обманские непрерывные дорожки по заливке (с netname этой заливки), и только затем ставить на эти дорожки via