ВходНаше всё Теги codebook 无线电组件 Поиск Опросы Закон Пятница
26 апреля
930857 Топик полностью
Хаос (02.07.2019 12:54, просмотров: 1) ответил Zoro на официально:
Так речь же даже не про имплементацию. Где-то в недрах Block Design'а запускаюся разные скрипты для Win и Lin и поэтому настройки PLL в Cortex-A9 Zynq'а оказываются разными.