ВходНаше всё Теги codebook 无线电组件 Поиск Опросы Закон Четверг
28 марта
1094879 Топик полностью
my504 (22.04.2021 12:07, просмотров: 504) ответил Boвa на Чтобы записать нужно только клок ацп на wr кинуть в правильной полярности, но хватит ли быстродействия чтобы выгребать данные с частотой 40 МГц?
В данный момент соединяю на схематике порт RE у dsPIC33CH512MP208 с шиной данных АЦП. Надеюсь, что DMA сработает с клоком реквеста 25 нс. Что хорошо в оном дсПИКе, у него PWM может тактироваться прямо от VCO PLL, и может работать на тактовой 500 МГц. Это позволит создать сетку частот с требуемым шагом и целочисленным делением вместо DDS. 

У конвейерных АЦП нет никакого сигнала готовности. Каждый клок тактирования готовы данные. В применяемом АЦП длина конвейера - 6. То есть я буду получать данные с задержкой в 6 отсчетов.