ВходНаше всё Теги codebook 无线电组件 Поиск Опросы Закон Четверг
4 июля
111898 Топик полностью
Al Volovich (01.02.2008 16:02, просмотров: 47) ответил st232bd на А что за задача, которая в Xililnx не влазит если не секрет. Я без подколки, просто интересно в чём сила Actel, последний раз слышал от коллег о них в конце 90-х.
Они защитой от копирования очень серьезно заняты. У них есть флэшовые FPGA сравнительно большой емкости