ВходНаше всё Теги codebook 无线电组件 Поиск Опросы Закон Среда
17 июля
1443829 Топик полностью
Mty1 (19.06.2024 10:11, просмотров: 117) ответил =AlexD= на Экспериментируй и смотри что генерится. Самый быстрый способ обучения.
ChatGpt сгерерил переменную reg running которая решает проблему с просечками 
module monostable(
    input wire clk,       // Входной тактовый сигнал
    input wire trigger,   // Входной сигнал для запуска одновибратора
    output reg out        // Выходной сигнал одновибратора
);
reg [31:0] count;        // Регистр для подсчета тактов
reg running;             // Флаг работы одновибратора
always @(posedge clk) begin
    if (trigger && !running) begin
        running <= 1;            // Запуск одновибратора по фронту триггера
        count <= 0;              // Сброс счетчика
    end else if (running) begin
        if (count < {32'd62500000 - 1}) begin
            count <= count + 1;  // Увеличение счетчика до нужной задержки
        end else begin
            count <= 0;          // Сброс счетчика после задержки
            running <= 0;        // Остановка одновибратора
        end
    end
end