ВходНаше всё Теги codebook 无线电组件 Поиск Опросы Закон Пятница
17 мая
215421
de3 (13.10.2010 14:01, просмотров: 13046)
Подскажите, пожалуйста, правильный синтаксис инициализации большого константного массива в verilog. Как-то до этого обходился, а сейчас необходимо инициализировать данными массив
reg [17:0] IniData [0:3071];
Конечно есть решение писать примерно так:
initial
begin
  IniData[0]=100;
  IniData[1]=123;
  IniData[2]=...;
  ...
  IniData[3071=321;
end
и раньше, когда длины массивов были порядка 5-10 я именно так и делал, но сейчас как-то хочется чего-то поэлегантнее. Есть ли в синтаксисе verilog какая-то специальная конструкция для декларации массивов констант, скажите, пожалуйста, кто знает? Спасибо ИИ