ВходНаше всё Теги codebook 无线电组件 Поиск Опросы Закон Среда
3 июля
95043
xanoy (24.07.2007 13:26, просмотров: 509)
Может кому пригодится. Програмка на Matlab Создает таблицу синуса (ее четвертую часть) и записывает ее в файл который можно напрямую подсьединять к асемблеру.

clear;
clc;
N=256; % Число дискретов
tab_sin=zeros(1,(N+1));
fid = fopen('sin_table.txt','w');
fprintf(fid,'.db \t');
for i=1:(N+1)
 tab_sin(i)=round((sin((i-1)*2*pi/(4*N)))*127);
 if (rem(i,8)==0)
      fprintf(fid,'0x%s\n',dec2hex(tab_sin(i),2));
      fprintf(fid,'.db \t');
      else
      fprintf(fid,'0x%s,',dec2hex(tab_sin(i),2));
 end; 
end
fprintf(fid,'\n');
fclose(fid);
pg = 1:N+1;
stem(pg-1,tab_sin(pg));