ВходНаше всё Теги codebook 无线电组件 Поиск Опросы Закон Вторник
2 июля
520198 Топик полностью
Мущщина (04.06.2014 14:07, просмотров: 118) ответил alho на О! Спасибо, почитаю! Вроде то что надо!
Прочитал выше про назначение славе-процессоров и ниже про их количество. Боюсь, что для такой задачи применение DSP - это стрельба из зайца по воробьям. Может тебе вообще всю задачу загнать в одну средней паршивости FPGA? Например Cyclone3 имени Альтеры? УАРТ изобразить и самому невелика проблема. Влезет этих уартов сильно больше, чем 1, в FPGA. Примерно в 150 триггеров, с делителями частоты, со всем на свете, один уарт уберется. Итого на 24 уарта - 3600 триггеров. В FPGA и процессорное ядро можно воткнуть. Есть альтеровское, NIOS. 32-х разрядное. Со всеми необходимыми, при желании, причандалами - кэшами, gpio, таймерами, векторным контроллером прерываниЙ и прочим. И память к нему там же, в FPGA. Софт для написания программ под NIOS тоже альтеровский, nios build tools -среда эклипсовидная, язык Цэ. Что еще надо для счастья? Может тебе такой путь выбрать? Все в 2 микросхемы выльется - FPGA и конфигурационное ПЗУ к ней 8-16 ногое. Вот для справки. EP3C10, второй с краю по "младшести" представитель Cyclone3, имеет более 10 тыс триггеров и 46 килобайт памяти. В него влезут все 24 уарта и один процессор NIOS с почти 46 килобайтами памяти (программ и данных). И еще тыщи 2 триггеров останется. Имеется в 144 ногом TQFP, стоит порядка 30 баксов. Следующий по старшинству из Cyclone3,EP3C16, имеет уже более 15000 триггеров и 56 кб памяти. Тоже есть в 144-х ногом корпусе, стоит 70 баксов. В него, по желанию, либо 2 процессоря с почти 28 кб памяти на процеесор, либо один проц с почти 56 килобайтами памяти и кучей неиспользуемых триггеров. Ну и все 24 уарта само собой. Технология разработки простая. В квартусе, это альтеровскийф пакет для работы с CPLD/FPGA, создается (либо схема рисуется, либо на верилог, vhdl) проект, включающий в себя уарты, ниос-процессор (он, со всеми прибамбасами нужными собирается из библиотечных элементов, ты только параметры задаешь). Далее в Nios build tools пишешь программу, компилируешь ее. Результат компиляции,в виде .hex файла, автоматом включается в квартусовский проект. После этого компилирувуешь означенный проект, симулируешь, заливаешь в конфигурационное ПЗУ. Единственная проблема - скачать QUARTUS (это не проблема на самом деле, альтера позволяет скачивать), крякнуть его, и вписать в лицензионный файл лтцензию на ниос.Но она решаема, эта проблема с кряками и лицензией. Софт на NIOS вроде бы в последнее время стал частью квартуса, его отдельно даже качать не надо. P.S. А семейство Cyclone5 вообще имеет внутрях готовые ARM-ие ядра, на них и триггера тратитьь не надо. :-) Но оно только последними версиями квартуса поддерживается. А в них собственного симулятора нет. Последняя версия квартуса, в которой есть собственный, незамаскированный, симулятор - 9.1. После нее альтера собственный квартусовский симулятор исключила. Сказалв - пользуйтесь менторовским modelsim'ом, он хороший. Но к кватусу 9.1 ниос надо отдельно скачивать. Тоже 9.1. Cyclone3 квартусом 9.1 поддерживается. Лицензию и кряку для 9.1 могу дать. Сам квартус, да плюс ниос, больно здоровы, штоб выкладывать, несколько гиг. :-)