ВходНаше всё Теги codebook 无线电组件 Поиск Опросы Закон Четверг
2 мая
1361757
Evgeny_CD, Архитектор (16.10.2023 01:49 - 02:40, просмотров: 24997)
[Форум Микроэлектроника 2023 глазами профессионала]. Оно стоило того, чтобы ехать. 

Центральным событием лично для меня стал доклад Красникова

https://ru.wikipedia.org/wiki/%D0%9A%D1%80%D0%B0%D1%81%D0%BD%D0%B8%D0%BA%D0%BE%D0%B2,_%D0%93%D0%B5%D0%BD%D0%BD%D0%B0%D0%B4%D0%B8%D0%B9_%D0%AF%D0%BA%D0%BE%D0%B2%D0%BB%D0%B5%D0%B2%D0%B8%D1%87


В докладе на основе обзора открытых, и в целом, известных публикаций было сформулировано три важнейших тезиса:

-- у мировой микроэлектроники есть внятный план развития на ближайшие 15 лет, который даст очень большой прирост параметров современных "цифровых технологий"

-- квантовые компьютеры, когда и если станут production ready, смогут занять только роль сопроцессоров, например, для криптографии

-- фотонные компьютеры аналогично, но для тензорных вычислений.


Основная засада "альтернативных компьютеров" - даже теоретически непонятно, как они смогли бы работать с массивами больших данных.


Это реально было достойно Президента РАН. (К Красникову лично я отношусь очень плохо, но это не важно сейчас.)


Подробнее про план.

https://www.imec-int.com/en/articles/20-year-roadmap-tearing-down-walls

https://www.imec-int.com/en/articles/smaller-better-faster-imec-presents-chip-scaling-roadmap

https://www.tomshardware.com/news/imec-reveals-sub-1nm-transistor-roadmap-3d-stacked-cmos-20-plans - !!! вот тут крайне важно пролистать картинки. Там море информации!


Масштабирование будет иметь место, но его эффект будет самым скромным из всего описанного ниже. В 2036...2038 гг основные элементы транзистора станут толщиной 1 атом, и дальше уже будет что-то другое.


Основной прогресс - структура транзистора, повышение плотности упаковки, и 3D сборка.

По мере масштабирования параметры транзисторов будут повышаться (!), что уникально.

FinFET были пробой пера, и сейчас уперлись в предел.


GAA (NanoSheet) будут очень крутой фичей (смотреть картинки по ссылке, которую указал), но еще круче будут CFET. Это P и N канальные CMOS транзисторы друг над другом вертикально.


Плотность металлических соединений тоже будет повышаться.


Вертикальная 3D сборка чипов с малым шагом VIA (7...5 нм) позволяет буржуинам говорить о будущей эре CMOS 2.0. Плотность упаковки вырастет на порядки. Но что самое главное, сократится длина проводников, что сильно снизит паразитные параметры. Все кеши (L2, L3) вертикально прямо над ядром процессора - это, конечно, атас.


В дополнение - технология разводки цепей питания по обратной стороне пластины. В качестве лимита по теплу буржуи считают 100 Вт/кв. см.


Из всего этого следует несколько выводов.


Взрослый AI получает шанс. Вот эта технология 3D сборки - это то, чего современному AI не хватает. Тогда память и узел сети вычислителя будет на одном композитном кристалле, и пропускная способность шины памяти (любой ширины) больше не будет проблемой.


Есть фирма, Cerebras Systems Inc., которая так и делает, только без 3D сборки, собирая чип 215 х 215 мм из кусочков.

https://www.cerebras.net/product-chip/


Цена получается "не очень", зато параметры фантастические - почти линейное масштабирование AI вычислений от количества узлов.


На фоне всего этого становится понятно, зачем так фанатично мочили китайцев, буквально отстреливая их на пути к 16 нм процессу. Потому что все описанное - это новая электроника. Совсем новая. Планарный тех процесс закончился на 22/28 нм. 16нм были не особо выдающимися, и FinFET были по сути пробой пера. Зато потом дело пошло, и пойдет еще круче, после GAA. CFET это вообще alien technology.


И теперь грустный вывод. Именно это и обсуждали в кулуарах, и почему-то материли Красникова, но, надо отдать должное - он четно рассказал о мировых достижениях, как и положено Президенту РАН :)


А мы здесь где? Где российская электроника на фоне всего этого?


Ответ - нигде. Надо честно признать, что мы никогда, в течение ближайших 30 лет, не достигнем чего-то похожего на конкурентоспособность на мировом уровне в рамках модели CMOS 2.0. Мы конечно можем замутить WW3, и радостно рвануть не только над Сибирью, но и даже на Вашингтоном, и тогда проблемы CMOS 2.0 будут не актуальны для Человечества 1.0, потому как Вселенная зачнет процесс создания Человечества 2.0


Суть в том, что один лишь "тонконанометровый" литограф, о котором так любят говорить - это мелочь. Как и из чего делать все описанное - это гигантский объем R&D, который буржуны уже провели, и нас даже не позвали мыть полы после этого праздника.


В 2038 году мне будет 70 лет. И как не печально признать, никакой передовой российской электроники не будет. Ни тогда, ни сейчас.


Еще раз. Мой пессимизм только в отношении попытки догнать CMOS 2.0 На Ан-2 догнать взлетевший на орбиту звездолет невозможно. Нет никакого запрета искать свой собственный путь.


Значит, надо делать две вещи:

-- делать простую электронику, MCU всякие там и даже драйверы полевиков. Делать очень хорошо, и как это не странно, тут мы вполне можем выйти в лидеры.

-- инвестировать в науку и человеческий капитал. Все что есть и чего нет - вваливать в науку и человеческий капитал. Ну вот, на горизонте тень СССР 2.0 нарисовалась.


Описанный путь - точно не единственный путь развития электроники. И никто не доказал, что нельзя найти "кротовую нору". Нет, мы не обесценим триллионные инвестиции (нам этого просто не дадут сделать, даже если надо будет нахрен разбомбить нас в каменный век), но неожиданно открыть свой собственный "остров в будущем" - запросто.


В 1917 году СССР стартовал с сильно более худших стартовых условий.

WSE-2_Datasheet.pdf