ВходНаше всё Теги codebook 无线电组件 Поиск Опросы Закон Пятница
26 апреля
335895 Топик полностью
ыыыы (18.06.2012 17:58, просмотров: 1) ответил ыыыы на вообще-то по делу лучше на электрониксе спрашивать :) я бы советовал reg_addr объявить как std_logic_vector(5 downto 0). вхдл строго типизированный язык и сравнение константы с параметризированным типом может ему не понравится. а вообще лучше
еще можно через преобразование в интегер сделать (может переводы строк сожрутся скриптом, но идея понятна) comb : process (addr) begin case conv_integer(addr) is when 16#00000# => romdata romdata