ВходНаше всё Теги codebook 无线电组件 Поиск Опросы Закон Суббота
4 мая
353982 Топик полностью
Meteor (12.09.2012 16:10, просмотров: 214) ответил Blackbird_sunday на Следующая печаль как в квартусе БЫСТРО в шине вырезать отдельные сигналы и засунуть их в другую шину - я схемник так что схемы рисую . Рисовать softы уже заманало чесслово . Переходить на языг не предлагать :) Хотя если какойнить шаблочник
Вариант дикого извращения, сбацаный за 10 минут library ieee; use ieee.std_logic_1164.all; Entity Bus_wire IS Generic( BusSize: integer := 16 ); PORT( A:in std_logic_vector(BusSize-1 downto 0);--Входная шина B15:out std_logic;--Выходные проводники B14:out std_logic; B13:out std_logic; B12:out std_logic; B11:out std_logic; B10:out std_logic; B9:out std_logic; B8:out std_logic; B7:out std_logic; B6:out std_logic; B5:out std_logic; B4:out std_logic; B3:out std_logic; B2:out std_logic; B1:out std_logic; B0:out std_logic ); end Bus_Wire; ARCHITECTURE bw of Bus_Wire IS begin process(A) begin B15<=A(BusSize-1); B14<=A(BusSize-2); B13<=A(BusSize-3); B12<=A(BusSize-4); B11<=A(BusSize-5); B10<=A(BusSize-6); B9<=A(BusSize-7); B8<=A(BusSize-8); B7<=A(BusSize-9); B6<=A(BusSize-10); B5<=A(BusSize-11); B4<=A(BusSize-12); B3<=A(BusSize-13); B2<=A(BusSize-14); B1<=A(BusSize-15); B0<=A(BusSize-BusSize); end process; end bw;