ВходНаше всё Теги codebook 无线电组件 Поиск Опросы Закон Суббота
27 апреля
744328 Топик полностью
argus98 (24.03.2017 21:00, просмотров: 294) ответил Николай Коровин на Ощущение острой нехватки «ассемблерных вставок», чтобы руками развести сигналы. На асике это делается элементарно, ну, может, пару инверторов между триггерами придётся воткнуть да не, паранойя. А вот как синтезатору такое объяснить…
Ставить логику на тактовые входы триггеров - весьма дурной тон. Я бы на месте "синтезатора" весьма оскорбился бы..