ВходНаше всё Теги codebook 无线电组件 Поиск Опросы Закон Пятница
29 марта
267297 Топик полностью
Evgeny_CD, Архитектор (16.08.2011 14:29 - 11.07.2019 00:43, просмотров: 604) ответил Evgeny_CD на А тулзы для "конвертации" System Verilog -> C - они какие? И где про это почитать можно?
Так, нашлась очень забавная штука: [Verilator] -> Нашел вот там -->. "It compiles synthesizable Verilog ..., SystemVerilog and Synthesis assertions into C++ or SystemC code. It is designed for large projects where fast simulation http://www.verilog.net/free.html
http://www.veripool.org/wiki/verilator/Intro
performance is of primary concern, and is especially well suited to generate executable models of CPUs for embedded software design teams." Причем проект живой, живет более 15 лет, дока, семинары и все такое прочее. Смотрится шикарно...