ВходНаше всё Теги codebook 无线电组件 Поиск Опросы Закон Суббота
27 апреля
837424 Топик полностью
Dingo (03.05.2018 17:49, просмотров: 359) ответил 0men на формирование строба длительностью один такт:
А можно попросить расшифровать? А то я на VHDL из текстовых, в Verilog пока не могу. 
	begin
		cpu_clk_edge_det <= {cpu_clk_edge_det[0], cpu_clk};
		cpu_clk_s <= (cpu_clk_edge_det==2'b01);
	end