ВходНаше всё Теги codebook 无线电组件 Поиск Опросы Закон Воскресенье
5 мая
184491 Топик полностью
pin (09.03.2010 14:54, просмотров: 265) ответил IgorChem на я словами это понимаю, но вот как это реализуется, скажите, пожалуйста???
Реализуется, как вы и пишете. Только в модуле верхнего уровня надо всё правильно обставить. Опять же, clock enable у регистров задействовать, см. далее. >скажите, пожалуйста, как тут организовать такую защелку? "Защёлки", точнее, регистры, у вас и так есть A2<= <какое-то действие над A1>; always @(posedge clk) if (enable) // если enable -... begin XX<=AA; //то выполнить... YY<=BB; end >гарантируется ли завершение копирования В в А и D в C до того, как начнется выполняться следующий блок? Гарантируется.